Monday, May 28, 2012

Modify VHDL Assertion Message



The clew is to edit modelsim.ini in modelsim installation folder refer to ModelSim User's Manual in the chapter explaining "modelsim.ini Variables" -> BreakOnAssertion.

Have Fun! ;)

No comments:

Post a Comment